Proceedings of the 2015 6th International Conference on Manufacturing Science and Engineering

Design and implementation of OFDM-MFSK IF modulator based on FPGA

Authors
Yuelei Xie, Deqian Zeng, Xiaofu Xia
Corresponding Author
Yuelei Xie
Available Online December 2015.
DOI
10.2991/icmse-15.2015.69How to use a DOI?
Keywords
Multipath fading; Doppler shift; OFDM-MFSK; Modulation scheme; FPGA;
Abstract

OFDM-MFSK is a hybrid modulation scheme which is resistant to multipath fading and frequency shift. So it is more suitable for high speed mobile communication scenarios where the channels are distorted by multipath propagation and Doppler shift. In this paper, an OFDM-MFSK modulator is designed and implemented on Xilinx’s ZYNQ-7020 FPGA. The modulator is mainly composed of convolution coding,MFSK mapping, pilots inserting, IFFT, windows filtering and Digital Up converter. Digital up converting is carried by AD9777 to achieve IQ IF modulation signals. The experimental test founded that the transmission data rate can reach more than 20Mbps in the frequency bandwidth of 80MHz conditions. Optimum performance of OFDM-MFSK is achieved for a low or medium rate data transmission even in time variance of mobile environments.

Copyright
© 2015, the Authors. Published by Atlantis Press.
Open Access
This is an open access article distributed under the CC BY-NC license (http://creativecommons.org/licenses/by-nc/4.0/).

Download article (PDF)

Volume Title
Proceedings of the 2015 6th International Conference on Manufacturing Science and Engineering
Series
Advances in Engineering Research
Publication Date
December 2015
ISBN
10.2991/icmse-15.2015.69
ISSN
2352-5401
DOI
10.2991/icmse-15.2015.69How to use a DOI?
Copyright
© 2015, the Authors. Published by Atlantis Press.
Open Access
This is an open access article distributed under the CC BY-NC license (http://creativecommons.org/licenses/by-nc/4.0/).

Cite this article

TY  - CONF
AU  - Yuelei Xie
AU  - Deqian Zeng
AU  - Xiaofu Xia
PY  - 2015/12
DA  - 2015/12
TI  - Design and implementation of OFDM-MFSK IF modulator based on FPGA
BT  - Proceedings of the 2015 6th International Conference on Manufacturing Science and Engineering
PB  - Atlantis Press
SP  - 373
EP  - 379
SN  - 2352-5401
UR  - https://doi.org/10.2991/icmse-15.2015.69
DO  - 10.2991/icmse-15.2015.69
ID  - Xie2015/12
ER  -